############## Kodi CRASH LOG ############### ################ SYSTEM INFO ################ Date: Sat Dec 18 03:58:10 EST 2021 Kodi Options: Arch: x86_64 Kernel: Linux 5.15.7-zen1-1-zen #1 ZEN SMP PREEMPT Wed, 08 Dec 2021 14:33:18 +0000 Release: Arch Linux ############## END SYSTEM INFO ############## ############### STACK TRACE ################# =====> Core file: /home/tomyang/core (2021-12-18 03:58:11.419994013 -0500) ========================================= [New LWP 840992] [New LWP 840961] [New LWP 840971] [New LWP 840986] [New LWP 840984] [New LWP 840994] [New LWP 841003] [New LWP 840998] [New LWP 840964] [New LWP 840993] [New LWP 840987] [New LWP 840995] [New LWP 840963] [New LWP 840968] [New LWP 840967] [New LWP 840981] [New LWP 840973] [New LWP 840982] [New LWP 840990] [New LWP 840989] [New LWP 840991] [New LWP 840970] [New LWP 840972] [New LWP 840974] [New LWP 840975] [New LWP 840976] [New LWP 840983] [New LWP 840985] [New LWP 840956] [New LWP 840977] [Thread debugging using libthread_db enabled] Using host libthread_db library "/usr/lib/libthread_db.so.1". Core was generated by `/usr/lib/kodi/kodi.bin'. Program terminated with signal SIGSEGV, Segmentation fault. #0 0x00007f5a92f48e53 in _PyObject_GC_New () from /usr/lib/libpython3.10.so.1.0 [Current thread is 1 (Thread 0x7f5a01ffb640 (LWP 840992))] Thread 30 (Thread 0x7f5a3aa15640 (LWP 840977)): #0 0x00007f5a91e3bd8e in fstatat64 () at /usr/lib/libc.so.6 #1 0x000055fab039e292 in XFILE::CPosixDirectory::GetDirectory(CURL const&, CFileItemList&) () #2 0x000055fab0f28e25 in XFILE::CDirectory::GetDirectory(CURL const&, std::shared_ptr const&, CFileItemList&, XFILE::CDirectory::CHints const&) () #3 0x000055fab0f2a9f3 in XFILE::CDirectory::GetDirectory(CURL const&, CFileItemList&, XFILE::CDirectory::CHints const&) () #4 0x000055fab0f2aad1 in XFILE::CDirectory::GetDirectory(std::__cxx11::basic_string, std::allocator > const&, CFileItemList&, std::__cxx11::basic_string, std::allocator > const&, int) () #5 0x000055fab0d778a1 in MUSIC_INFO::CMusicInfoScanner::DoScan(std::__cxx11::basic_string, std::allocator > const&) () #6 0x000055fab0d77ae2 in MUSIC_INFO::CMusicInfoScanner::DoScan(std::__cxx11::basic_string, std::allocator > const&) () #7 0x000055fab0d7f626 in MUSIC_INFO::CMusicInfoScanner::Process() () #8 0x000055fab0d7fb4f in CMusicLibraryScanningJob::Work(CMusicDatabase&) () #9 0x000055fab0d65079 in CMusicLibraryJob::DoWork() () #10 0x000055fab06abcf9 in CJobWorker::Process() () #11 0x000055fab0720794 in CThread::Action() () #12 0x000055fab1072dab in () #13 0x000055fab071a948 in () #14 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab4770090) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #15 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #16 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 29 (Thread 0x7f5a8d35cac0 (LWP 840956)): #0 0x00007f5a92e21f04 in open64 () at /usr/lib/libpthread.so.0 #1 0x000055fab0388e9b in XFILE::CPosixFile::Open(CURL const&) () #2 0x000055fab0f24b38 in XFILE::CFile::Open(CURL const&, unsigned int) () #3 0x000055fab10a99b9 in () #4 0x000055fab0f2d58b in XFILE::COverrideFile::Open(CURL const&) () #5 0x000055fab0f24b38 in XFILE::CFile::Open(CURL const&, unsigned int) () #6 0x000055fab0f26b70 in XFILE::CFile::LoadFile(CURL const&, XUTILS::auto_buffer&) () #7 0x000055fab0f26d3f in XFILE::CFile::LoadFile(std::__cxx11::basic_string, std::allocator > const&, XUTILS::auto_buffer&) () #8 0x000055fab0883b18 in CTexture::LoadFromFileInternal(std::__cxx11::basic_string, std::allocator > const&, unsigned int, unsigned int, bool, std::__cxx11::basic_string, std::allocator > const&) () #9 0x000055fab08841dd in CTexture::LoadFromFile(std::__cxx11::basic_string, std::allocator > const&, unsigned int, unsigned int, bool, std::__cxx11::basic_string, std::allocator > const&) () #10 0x000055fab0884cf8 in CGUITextureManager::Load(std::__cxx11::basic_string, std::allocator > const&, bool) () #11 0x000055fab0857a94 in CGUITexture::AllocResources() () #12 0x000055fab07ef9a2 in CGUIControlGroup::Process(unsigned int, std::vector >&) () #13 0x000055fab07f414f in CGUIControl::DoProcess(unsigned int, std::vector >&) () #14 0x000055fab07ef9b9 in CGUIControlGroup::Process(unsigned int, std::vector >&) () #15 0x000055fab07f414f in CGUIControl::DoProcess(unsigned int, std::vector >&) () #16 0x000055fab07ef9b9 in CGUIControlGroup::Process(unsigned int, std::vector >&) () #17 0x000055fab07f414f in CGUIControl::DoProcess(unsigned int, std::vector >&) () #18 0x000055fab086050d in CGUIWindow::DoProcess(unsigned int, std::vector >&) () #19 0x000055fab087cd4b in CGUIWindowManager::Process(unsigned int) () #20 0x000055fab0a3d6f9 in CApplication::FrameMove(bool, bool) () #21 0x000055fab0ade856 in CXBApplicationEx::Run(CAppParamParser const&) () #22 0x000055fab01a307c in main () Thread 28 (Thread 0x7f5a19ffb640 (LWP 840985)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e842 in pthread_cond_clockwait () at /usr/lib/libpthread.so.0 #2 0x000055fab0233245 in () #3 0x000055fab0cc0d7a in PERIPHERALS::CPeripheralBus::Process() () #4 0x000055fab0720794 in CThread::Action() () #5 0x000055fab1072dab in () #6 0x000055fab071a948 in () #7 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab5545f50) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #8 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #9 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 27 (Thread 0x7f5a1affd640 (LWP 840983)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e574 in pthread_cond_timedwait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a933fad15 in CEC::CCECClient::Process() () at /usr/lib/libcec.so.6 #3 0x00007f5a933ff4ae in P8PLATFORM::CThread::ThreadHandler(void*) () at /usr/lib/libcec.so.6 #4 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #5 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 26 (Thread 0x7f5a3bfff640 (LWP 840976)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a70551ebc in () at /usr/lib/dri/iris_dri.so #3 0x00007f5a7054aad8 in () at /usr/lib/dri/iris_dri.so #4 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #5 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 25 (Thread 0x7f5a40cc7640 (LWP 840975)): #0 0x00007f5a91e40b2f in poll () at /usr/lib/libc.so.6 #1 0x000055fab102b185 in () #2 0x000055fab0720794 in CThread::Action() () #3 0x000055fab1072dab in () #4 0x000055fab071a948 in () #5 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab3f41dc0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #6 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #7 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 24 (Thread 0x7f5a437fe640 (LWP 840974)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a70551ebc in () at /usr/lib/dri/iris_dri.so #3 0x00007f5a7054aad8 in () at /usr/lib/dri/iris_dri.so #4 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #5 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 23 (Thread 0x7f5a48fd0640 (LWP 840972)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a70551ebc in () at /usr/lib/dri/iris_dri.so #3 0x00007f5a7054aad8 in () at /usr/lib/dri/iris_dri.so #4 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #5 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 22 (Thread 0x7f5a727fc640 (LWP 840970)): #0 0x00007f5a91e40b2f in poll () at /usr/lib/libc.so.6 #1 0x00007f5a93214ae4 in () at /usr/lib/libpulse.so.0 #2 0x00007f5a931fe4b9 in pa_mainloop_poll () at /usr/lib/libpulse.so.0 #3 0x00007f5a93208709 in pa_mainloop_iterate () at /usr/lib/libpulse.so.0 #4 0x00007f5a932087c1 in pa_mainloop_run () at /usr/lib/libpulse.so.0 #5 0x00007f5a93218c9e in () at /usr/lib/libpulse.so.0 #6 0x00007f5a915e7403 in () at /usr/lib/pulseaudio/libpulsecommon-15.0.so #7 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #8 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 21 (Thread 0x7f5a027fc640 (LWP 840991)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e20ae8 in __new_sem_wait_slow64.constprop.0 () at /usr/lib/libpthread.so.0 #2 0x00007f5a92f47a99 in PyThread_acquire_lock () at /usr/lib/libpython3.10.so.1.0 #3 0x00007f5a92ea63ec in () at /usr/lib/libpython3.10.so.1.0 #4 0x00007f5a92f893c6 in () at /usr/lib/libpython3.10.so.1.0 #5 0x00007f5a92f74eb5 in () at /usr/lib/libpython3.10.so.1.0 #6 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #7 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #8 0x00007f5a9301e3d8 in () at /usr/lib/libpython3.10.so.1.0 #9 0x00007f5a93023bcf in () at /usr/lib/libpython3.10.so.1.0 #10 0x00007f5a92f91f69 in () at /usr/lib/libpython3.10.so.1.0 #11 0x00007f5a92f5e049 in () at /usr/lib/libpython3.10.so.1.0 #12 0x00007f5a92f91ae5 in () at /usr/lib/libpython3.10.so.1.0 #13 0x00007f5a92f8330f in () at /usr/lib/libpython3.10.so.1.0 #14 0x00007f5a92f67887 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #15 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #16 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #17 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #18 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #19 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #20 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #21 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #22 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #23 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #24 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #25 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #26 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #27 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #28 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #29 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #30 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #31 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #32 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #33 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #34 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #35 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #36 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #37 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #38 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #39 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #40 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #41 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #42 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #43 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #44 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #45 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #46 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #47 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #48 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #49 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #50 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #51 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #52 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #53 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #54 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #55 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #56 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #57 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #58 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #59 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #60 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #61 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #62 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #63 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #64 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #65 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #66 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #67 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #68 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #69 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #70 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #71 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #72 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #73 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #74 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #75 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #76 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #77 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #78 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #79 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #80 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #81 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #82 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #83 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #84 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #85 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #86 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #87 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #88 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #89 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #90 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #91 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #92 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #93 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #94 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #95 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #96 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #97 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #98 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #99 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #100 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #101 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #102 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #103 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #104 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #105 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #106 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #107 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #108 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #109 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #110 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #111 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #112 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #113 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #114 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #115 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #116 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #117 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #118 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #119 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #120 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #121 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #122 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #123 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #124 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #125 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #126 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #127 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #128 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #129 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #130 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #131 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #132 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #133 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #134 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #135 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #136 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #137 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #138 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #139 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #140 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #141 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #142 0x00007f5a9302bf54 in () at /usr/lib/libpython3.10.so.1.0 #143 0x00007f5a93027a2b in () at /usr/lib/libpython3.10.so.1.0 #144 0x00007f5a92ec9220 in () at /usr/lib/libpython3.10.so.1.0 #145 0x00007f5a92f46672 in PyRun_FileExFlags () at /usr/lib/libpython3.10.so.1.0 #146 0x000055fab0324c94 in CPythonInvoker::executeScript(_IO_FILE*, std::__cxx11::basic_string, std::allocator > const&, _object*) () #147 0x000055fab033699a in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #148 0x000055fab0337d23 in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #149 0x000055fab0e80863 in CLanguageInvokerThread::Process() () #150 0x000055fab0720794 in CThread::Action() () #151 0x000055fab1072dab in () #152 0x000055fab071a948 in () #153 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab483be10) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #154 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #155 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 20 (Thread 0x7f5a037fe640 (LWP 840989)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e574 in pthread_cond_timedwait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a92f47ea3 in () at /usr/lib/libpython3.10.so.1.0 #3 0x00007f5a92f663c2 in PyEval_RestoreThread () at /usr/lib/libpython3.10.so.1.0 #4 0x00007f5a9302de2c in _Py_read () at /usr/lib/libpython3.10.so.1.0 #5 0x00007f5a9302dd60 in () at /usr/lib/libpython3.10.so.1.0 #6 0x00007f5a92f74eb5 in () at /usr/lib/libpython3.10.so.1.0 #7 0x00007f5a9301e441 in () at /usr/lib/libpython3.10.so.1.0 #8 0x00007f5a9302d87e in () at /usr/lib/libpython3.10.so.1.0 #9 0x00007f5a92f840cc in () at /usr/lib/libpython3.10.so.1.0 #10 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #11 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #12 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #13 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #14 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #15 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #16 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #17 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #18 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #19 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #20 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #21 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #22 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #23 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #24 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #25 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #26 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #27 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #28 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #29 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #30 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #31 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #32 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #33 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #34 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #35 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #36 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #37 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #38 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #39 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #40 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #41 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #42 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #43 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #44 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #45 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #46 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #47 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #48 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #49 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #50 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #51 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #52 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #53 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #54 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #55 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #56 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #57 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #58 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #59 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #60 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #61 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #62 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #63 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #64 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #65 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #66 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #67 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #68 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #69 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #70 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #71 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #72 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #73 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #74 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #75 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #76 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #77 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #78 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #79 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #80 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #81 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #82 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #83 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #84 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #85 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #86 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #87 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #88 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #89 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #90 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #91 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #92 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #93 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #94 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #95 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #96 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #97 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #98 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #99 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #100 0x00007f5a9302bf54 in () at /usr/lib/libpython3.10.so.1.0 #101 0x00007f5a93027a2b in () at /usr/lib/libpython3.10.so.1.0 #102 0x00007f5a92ec9220 in () at /usr/lib/libpython3.10.so.1.0 #103 0x00007f5a92f46672 in PyRun_FileExFlags () at /usr/lib/libpython3.10.so.1.0 #104 0x000055fab0324c94 in CPythonInvoker::executeScript(_IO_FILE*, std::__cxx11::basic_string, std::allocator > const&, _object*) () #105 0x000055fab033699a in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #106 0x000055fab0337d23 in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #107 0x000055fab0e80863 in CLanguageInvokerThread::Process() () #108 0x000055fab0720794 in CThread::Action() () #109 0x000055fab1072dab in () #110 0x000055fab071a948 in () #111 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab554b2f0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #112 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #113 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 19 (Thread 0x7f5a02ffd640 (LWP 840990)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e20ae8 in __new_sem_wait_slow64.constprop.0 () at /usr/lib/libpthread.so.0 #2 0x00007f5a92f47a99 in PyThread_acquire_lock () at /usr/lib/libpython3.10.so.1.0 #3 0x00007f5a92ea63ec in () at /usr/lib/libpython3.10.so.1.0 #4 0x00007f5a92f893c6 in () at /usr/lib/libpython3.10.so.1.0 #5 0x00007f5a92f74eb5 in () at /usr/lib/libpython3.10.so.1.0 #6 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #7 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #8 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #9 0x00007f5a92f82e15 in () at /usr/lib/libpython3.10.so.1.0 #10 0x00007f5a92f69b7f in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #11 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #12 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #13 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #14 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #15 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #16 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #17 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #18 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #19 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #20 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #21 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #22 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #23 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #24 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #25 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #26 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #27 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #28 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #29 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #30 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #31 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #32 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #33 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #34 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #35 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #36 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #37 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #38 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #39 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #40 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #41 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #42 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #43 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #44 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #45 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #46 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #47 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #48 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #49 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #50 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #51 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #52 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #53 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #54 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #55 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #56 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #57 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #58 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #59 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #60 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #61 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #62 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #63 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #64 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #65 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #66 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #67 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #68 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #69 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #70 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #71 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #72 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #73 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #74 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #75 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #76 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #77 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #78 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #79 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #80 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #81 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #82 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #83 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #84 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #85 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #86 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #87 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #88 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #89 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #90 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #91 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #92 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #93 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #94 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #95 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #96 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #97 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #98 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #99 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #100 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #101 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #102 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #103 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #104 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #105 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #106 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #107 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #108 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #109 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #110 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #111 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #112 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #113 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #114 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #115 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #116 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #117 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #118 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #119 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #120 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #121 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #122 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #123 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #124 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #125 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #126 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #127 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #128 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #129 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #130 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #131 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #132 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #133 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #134 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #135 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #136 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #137 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #138 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #139 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #140 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #141 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #142 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #143 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #144 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #145 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #146 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #147 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #148 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #149 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #150 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #151 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #152 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #153 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #154 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #155 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #156 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #157 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #158 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #159 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #160 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #161 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #162 0x00007f5a9302bf54 in () at /usr/lib/libpython3.10.so.1.0 #163 0x00007f5a93027a2b in () at /usr/lib/libpython3.10.so.1.0 #164 0x00007f5a92ec9220 in () at /usr/lib/libpython3.10.so.1.0 #165 0x00007f5a92f46672 in PyRun_FileExFlags () at /usr/lib/libpython3.10.so.1.0 #166 0x000055fab0324c94 in CPythonInvoker::executeScript(_IO_FILE*, std::__cxx11::basic_string, std::allocator > const&, _object*) () #167 0x000055fab033699a in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #168 0x000055fab0337d23 in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #169 0x000055fab0e80863 in CLanguageInvokerThread::Process() () #170 0x000055fab0720794 in CThread::Action() () #171 0x000055fab1072dab in () #172 0x000055fab071a948 in () #173 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab483bc40) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #174 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #175 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 18 (Thread 0x7f5a1b7fe640 (LWP 840982)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e842 in pthread_cond_clockwait () at /usr/lib/libpthread.so.0 #2 0x000055fab0233245 in () #3 0x000055fab0efacc8 in XFILE::CCircularCache::WaitForData(unsigned int, unsigned int) () #4 0x000055fab0f125cd in XFILE::CFileCache::Read(void*, unsigned long) () #5 0x000055fab10a67b9 in () #6 0x000055fab09f76da in ADDON::CRepository::FetchChecksum(std::__cxx11::basic_string, std::allocator > const&, std::__cxx11::basic_string, std::allocator >&, int&) () #7 0x000055fab0a0058b in ADDON::CRepository::FetchIfChanged(std::__cxx11::basic_string, std::allocator > const&, std::__cxx11::basic_string, std::allocator >&, std::vector, std::allocator > >&, int&) const () #8 0x000055fab0a012e2 in ADDON::CRepositoryUpdateJob::DoWork() () #9 0x000055fab06abcf9 in CJobWorker::Process() () #10 0x000055fab0720794 in CThread::Action() () #11 0x000055fab1072dab in () #12 0x000055fab071a948 in () #13 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab521f7c0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #14 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #15 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 17 (Thread 0x7f5a43fff640 (LWP 840973)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a70551ebc in () at /usr/lib/dri/iris_dri.so #3 0x00007f5a7054aad8 in () at /usr/lib/dri/iris_dri.so #4 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #5 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 16 (Thread 0x7f5a1bfff640 (LWP 840981)): #0 0x00007f5a91e3c89c in read () at /usr/lib/libc.so.6 #1 0x00007f5a91dcec14 in __GI__IO_file_underflow () at /usr/lib/libc.so.6 #2 0x00007f5a91dcfe66 in _IO_default_uflow () at /usr/lib/libc.so.6 #3 0x00007f5a91dc2f2c in _IO_getline_info () at /usr/lib/libc.so.6 #4 0x00007f5a91dc1e8a in fgets () at /usr/lib/libc.so.6 #5 0x000055fab0ce71d7 in CDNSNameCache::Lookup(std::__cxx11::basic_string, std::allocator > const&, std::__cxx11::basic_string, std::allocator >&) () #6 0x000055fab0f129c5 in XFILE::CCurlFile::ParseAndCorrectUrl(CURL&) () #7 0x000055fab0f1e7b0 in XFILE::CCurlFile::Open(CURL const&) () #8 0x000055fab0f24b38 in XFILE::CFile::Open(CURL const&, unsigned int) () #9 0x000055fab0f24e8f in XFILE::CFile::Open(std::__cxx11::basic_string, std::allocator > const&, unsigned int) () #10 0x000055fab0f235d4 in XFILE::CFileCache::Open(CURL const&) () #11 0x000055fab0f24d94 in XFILE::CFile::Open(CURL const&, unsigned int) () #12 0x000055fab10a99b9 in () #13 0x000055fab09f74c2 in ADDON::CRepository::FetchChecksum(std::__cxx11::basic_string, std::allocator > const&, std::__cxx11::basic_string, std::allocator >&, int&) () #14 0x000055fab0a0058b in ADDON::CRepository::FetchIfChanged(std::__cxx11::basic_string, std::allocator > const&, std::__cxx11::basic_string, std::allocator >&, std::vector, std::allocator > >&, int&) const () #15 0x000055fab0a012e2 in ADDON::CRepositoryUpdateJob::DoWork() () #16 0x000055fab06abcf9 in CJobWorker::Process() () #17 0x000055fab0720794 in CThread::Action() () #18 0x000055fab1072dab in () #19 0x000055fab071a948 in () #20 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab516ad40) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #21 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #22 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 15 (Thread 0x7f5a737fe640 (LWP 840967)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e842 in pthread_cond_clockwait () at /usr/lib/libpthread.so.0 #2 0x000055fab0233245 in () #3 0x000055fab0fbffdb in ActiveAE::CActiveAE::Process() () #4 0x000055fab0720794 in CThread::Action() () #5 0x000055fab1072dab in () #6 0x000055fab071a948 in () #7 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab3f099b0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #8 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #9 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 14 (Thread 0x7f5a72ffd640 (LWP 840968)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a93215c39 in pa_threaded_mainloop_wait () at /usr/lib/libpulse.so.0 #3 0x000055fab0fd4d98 in CAESinkPULSE::AddPackets(unsigned char**, unsigned int, unsigned int) () #4 0x000055fab0fe41fe in ActiveAE::CActiveAESink::OutputSamples(ActiveAE::CSampleBuffer*) () #5 0x000055fab0fe4c90 in ActiveAE::CActiveAESink::StateMachine(int, Actor::Protocol*, Actor::Message*) () #6 0x000055fab0fe5a4e in ActiveAE::CActiveAESink::Process() () #7 0x000055fab0720794 in CThread::Action() () #8 0x000055fab1072dab in () #9 0x000055fab071a948 in () #10 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x7f5a64000b60) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #11 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #12 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 13 (Thread 0x7f5a8cb58640 (LWP 840963)): #0 0x00007f5a91e40b2f in poll () at /usr/lib/libc.so.6 #1 0x00007f5a93214ae4 in () at /usr/lib/libpulse.so.0 #2 0x00007f5a931fe4b9 in pa_mainloop_poll () at /usr/lib/libpulse.so.0 #3 0x00007f5a93208709 in pa_mainloop_iterate () at /usr/lib/libpulse.so.0 #4 0x00007f5a932087c1 in pa_mainloop_run () at /usr/lib/libpulse.so.0 #5 0x00007f5a93218c9e in () at /usr/lib/libpulse.so.0 #6 0x00007f5a915e7403 in () at /usr/lib/pulseaudio/libpulsecommon-15.0.so #7 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #8 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 12 (Thread 0x7f59ebfff640 (LWP 840995)): #0 0x00007f5a91e43201 in select () at /usr/lib/libc.so.6 #1 0x000055fab0d059d1 in SOCKETS::CSocketListener::Listen(int) () #2 0x000055fab0d00f41 in EVENTSERVER::CEventServer::Run() () #3 0x000055fab0d011a9 in EVENTSERVER::CEventServer::Process() () #4 0x000055fab0720794 in CThread::Action() () #5 0x000055fab1072dab in () #6 0x000055fab071a948 in () #7 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab4694e90) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #8 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #9 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 11 (Thread 0x7f5a18ff9640 (LWP 840987)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e842 in pthread_cond_clockwait () at /usr/lib/libpthread.so.0 #2 0x000055fab0233245 in () #3 0x000055fab0cf3984 in PERIPHERALS::CEventScanner::Process() () #4 0x000055fab0720794 in CThread::Action() () #5 0x000055fab1072dab in () #6 0x000055fab071a948 in () #7 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab3d85650) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #8 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #9 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 10 (Thread 0x7f5a017fa640 (LWP 840993)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e574 in pthread_cond_timedwait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a92f47ea3 in () at /usr/lib/libpython3.10.so.1.0 #3 0x00007f5a92f663c2 in PyEval_RestoreThread () at /usr/lib/libpython3.10.so.1.0 #4 0x00007f5a92ea63f4 in () at /usr/lib/libpython3.10.so.1.0 #5 0x00007f5a92f893c6 in () at /usr/lib/libpython3.10.so.1.0 #6 0x00007f5a92f74eb5 in () at /usr/lib/libpython3.10.so.1.0 #7 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #8 0x00007f5a92f82e15 in () at /usr/lib/libpython3.10.so.1.0 #9 0x00007f5a92f69b7f in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #10 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #11 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #12 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #13 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #14 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #15 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #16 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #17 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #18 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #19 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #20 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #21 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #22 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #23 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #24 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #25 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #26 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #27 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #28 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #29 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #30 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #31 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #32 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #33 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #34 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #35 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #36 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #37 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #38 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #39 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #40 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #41 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #42 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #43 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #44 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #45 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #46 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #47 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #48 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #49 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #50 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #51 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #52 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #53 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #54 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #55 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #56 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #57 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #58 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #59 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #60 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #61 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #62 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #63 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #64 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #65 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #66 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #67 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #68 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #69 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #70 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #71 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #72 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #73 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #74 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #75 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #76 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #77 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #78 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #79 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #80 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #81 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #82 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #83 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #84 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #85 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #86 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #87 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #88 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #89 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #90 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #91 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #92 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #93 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #94 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #95 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #96 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #97 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #98 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #99 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #100 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #101 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #102 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #103 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #104 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #105 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #106 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #107 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #108 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #109 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #110 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #111 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #112 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #113 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #114 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #115 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #116 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #117 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #118 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #119 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #120 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #121 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #122 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #123 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #124 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #125 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #126 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #127 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #128 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #129 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #130 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #131 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #132 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #133 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #134 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #135 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #136 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #137 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #138 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #139 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #140 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #141 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #142 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #143 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #144 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #145 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #146 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #147 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #148 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #149 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #150 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #151 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #152 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #153 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #154 0x00007f5a92fa8ad8 in () at /usr/lib/libpython3.10.so.1.0 #155 0x00007f5a92f7707e in () at /usr/lib/libpython3.10.so.1.0 #156 0x00007f5a92f83623 in PyObject_Call () at /usr/lib/libpython3.10.so.1.0 #157 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #158 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #159 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #160 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #161 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #162 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #163 0x00007f5a92f8822a in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #164 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #165 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #166 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #167 0x00007f5a9302bf54 in () at /usr/lib/libpython3.10.so.1.0 #168 0x00007f5a93027a2b in () at /usr/lib/libpython3.10.so.1.0 #169 0x00007f5a92ec9220 in () at /usr/lib/libpython3.10.so.1.0 #170 0x00007f5a92f46672 in PyRun_FileExFlags () at /usr/lib/libpython3.10.so.1.0 #171 0x000055fab0324c94 in CPythonInvoker::executeScript(_IO_FILE*, std::__cxx11::basic_string, std::allocator > const&, _object*) () #172 0x000055fab033699a in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #173 0x000055fab0337d23 in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #174 0x000055fab0e80863 in CLanguageInvokerThread::Process() () #175 0x000055fab0720794 in CThread::Action() () #176 0x000055fab1072dab in () #177 0x000055fab071a948 in () #178 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab555b600) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #179 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #180 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 9 (Thread 0x7f5a73fff640 (LWP 840964)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e842 in pthread_cond_clockwait () at /usr/lib/libpthread.so.0 #2 0x000055fab0233245 in () #3 0x000055fab03b4556 in CLirc::Process() () #4 0x000055fab0720794 in CThread::Action() () #5 0x000055fab1072dab in () #6 0x000055fab071a948 in () #7 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab3c6f3b0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #8 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #9 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 8 (Thread 0x7f59eb7fe640 (LWP 840998)): #0 0x00007f5a91e43201 in select () at /usr/lib/libc.so.6 #1 0x000055fab0d1915c in JSONRPC::CTCPServer::Process() () #2 0x000055fab0720794 in CThread::Action() () #3 0x000055fab1072dab in () #4 0x000055fab071a948 in () #5 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab46b77e0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #6 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #7 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 7 (Thread 0x7f59e93fb640 (LWP 841003)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a9181ff01 in __gthread_cond_wait (__mutex=, __cond=) at /build/gcc/src/gcc-build/x86_64-pc-linux-gnu/libstdc++-v3/include/x86_64-pc-linux-gnu/bits/gthr-default.h:865 #3 std::__condvar::wait(std::mutex&) (__m=, this=) at /build/gcc/src/gcc-build/x86_64-pc-linux-gnu/libstdc++-v3/include/bits/std_mutex.h:155 #4 std::condition_variable::wait(std::unique_lock&) (this=, __lock=) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/condition_variable.cc:41 #5 0x000055fab0720f22 in XbmcThreads::CEventGroup::wait(unsigned int) () #6 0x000055fab0f25862 in XFILE::CFileCache::Process() () #7 0x000055fab0720794 in CThread::Action() () #8 0x000055fab1072dab in () #9 0x000055fab071a948 in () #10 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x7f5a143bac60) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #11 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #12 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 6 (Thread 0x7f5a00ff9640 (LWP 840994)): #0 0x00007f5a91e40b2f in poll () at /usr/lib/libc.so.6 #1 0x00007f5a9350ea6c in () at /usr/lib/libavahi-common.so.3 #2 0x00007f5a9350e5f1 in avahi_simple_poll_run () at /usr/lib/libavahi-common.so.3 #3 0x00007f5a9350e7c9 in avahi_simple_poll_iterate () at /usr/lib/libavahi-common.so.3 #4 0x00007f5a9350e9fe in avahi_simple_poll_loop () at /usr/lib/libavahi-common.so.3 #5 0x00007f5a9350eacb in () at /usr/lib/libavahi-common.so.3 #6 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #7 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 5 (Thread 0x7f5a1a7fc640 (LWP 840984)): #0 0x00007f5a91e40b2f in poll () at /usr/lib/libc.so.6 #1 0x000055fab03ae56b in PERIPHERALS::CPeripheralBusUSB::WaitForUpdate() () #2 0x000055fab03ae789 in PERIPHERALS::CPeripheralBusUSB::Process() () #3 0x000055fab0720794 in CThread::Action() () #4 0x000055fab1072dab in () #5 0x000055fab071a948 in () #6 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab5546340) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #7 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #8 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 4 (Thread 0x7f5a197fa640 (LWP 840986)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e842 in pthread_cond_clockwait () at /usr/lib/libpthread.so.0 #2 0x000055fab0233245 in () #3 0x000055fab0cc0d7a in PERIPHERALS::CPeripheralBus::Process() () #4 0x000055fab0720794 in CThread::Action() () #5 0x000055fab1072dab in () #6 0x000055fab071a948 in () #7 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab54f61a0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #8 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #9 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 3 (Thread 0x7f5a497d1640 (LWP 840971)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a70551ebc in () at /usr/lib/dri/iris_dri.so #3 0x00007f5a7054aad8 in () at /usr/lib/dri/iris_dri.so #4 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #5 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 2 (Thread 0x7f5a8d359640 (LWP 840961)): #0 0x00007f5a92e248ca in __futex_abstimed_wait_common64 () at /usr/lib/libpthread.so.0 #1 0x00007f5a92e1e270 in pthread_cond_wait@@GLIBC_2.3.2 () at /usr/lib/libpthread.so.0 #2 0x00007f5a9181ff01 in __gthread_cond_wait (__mutex=, __cond=) at /build/gcc/src/gcc-build/x86_64-pc-linux-gnu/libstdc++-v3/include/x86_64-pc-linux-gnu/bits/gthr-default.h:865 #3 std::__condvar::wait(std::mutex&) (__m=, this=) at /build/gcc/src/gcc-build/x86_64-pc-linux-gnu/libstdc++-v3/include/bits/std_mutex.h:155 #4 std::condition_variable::wait(std::unique_lock&) (this=, __lock=) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/condition_variable.cc:41 #5 0x000055fab0ebe78e in ANNOUNCEMENT::CAnnouncementManager::Process() () #6 0x000055fab0720794 in CThread::Action() () #7 0x000055fab1072dab in () #8 0x000055fab071a948 in () #9 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab3cbc6d0) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #10 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #11 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 Thread 1 (Thread 0x7f5a01ffb640 (LWP 840992)): #0 0x00007f5a92f48e53 in _PyObject_GC_New () at /usr/lib/libpython3.10.so.1.0 #1 0x00007f5a92f7592f in _PyObject_GenericGetAttrWithDict () at /usr/lib/libpython3.10.so.1.0 #2 0x00007f5a92f743c3 in _PyObject_GetAttrId () at /usr/lib/libpython3.10.so.1.0 #3 0x00007f5a93022273 in PyFile_WriteObject () at /usr/lib/libpython3.10.so.1.0 #4 0x00007f5a92ed474d in () at /usr/lib/libpython3.10.so.1.0 #5 0x00007f5a930a0a48 in () at /usr/lib/libpython3.10.so.1.0 #6 0x00007f5a92f76ad3 in () at /usr/lib/libpython3.10.so.1.0 #7 0x00007f5a9301e3d8 in () at /usr/lib/libpython3.10.so.1.0 #8 0x00007f5a92ed42e3 in _PyErr_WriteUnraisableMsg () at /usr/lib/libpython3.10.so.1.0 #9 0x00007f5a92e903cd in () at /usr/lib/libpython3.10.so.1.0 #10 0x00007f5a92f72376 in _PyDict_DelItem_KnownHash () at /usr/lib/libpython3.10.so.1.0 #11 0x00007f5a92f4ca03 in () at /usr/lib/libpython3.10.so.1.0 #12 0x00007f5a92f5d53b in () at /usr/lib/libpython3.10.so.1.0 #13 0x00007f5a92f79645 in () at /usr/lib/libpython3.10.so.1.0 #14 0x00007f5a92f75257 in () at /usr/lib/libpython3.10.so.1.0 #15 0x00007f5a92f51851 in () at /usr/lib/libpython3.10.so.1.0 #16 0x00007f5a3a0b7d46 in () at /usr/lib/python3.10/lib-dynload/_sqlite3.cpython-310-x86_64-linux-gnu.so #17 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #18 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #19 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #20 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #21 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #22 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #23 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #24 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #25 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #26 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #27 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #28 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #29 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #30 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #31 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #32 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #33 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #34 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #35 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #36 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #37 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #38 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #39 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #40 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #41 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #42 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #43 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #44 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #45 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #46 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #47 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #48 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #49 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #50 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #51 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #52 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #53 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #54 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #55 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #56 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #57 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #58 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #59 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #60 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #61 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #62 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #63 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #64 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #65 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #66 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #67 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #68 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #69 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #70 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #71 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #72 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #73 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #74 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #75 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #76 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #77 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #78 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #79 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #80 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #81 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #82 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #83 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #84 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #85 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #86 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #87 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #88 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #89 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #90 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #91 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #92 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #93 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #94 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #95 0x00007f5a93022c0d in () at /usr/lib/libpython3.10.so.1.0 #96 0x00007f5a92f776ec in () at /usr/lib/libpython3.10.so.1.0 #97 0x00007f5a92f6d7d8 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #98 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #99 0x00007f5a92f6c3bf in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #100 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #101 0x00007f5a92f67b05 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #102 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #103 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #104 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #105 0x00007f5a92f677e4 in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #106 0x00007f5a92f774e8 in _PyFunction_Vectorcall () at /usr/lib/libpython3.10.so.1.0 #107 0x00007f5a92f76c74 in () at /usr/lib/libpython3.10.so.1.0 #108 0x00007f5a92f88f7b in _PyObject_CallMethodIdObjArgs () at /usr/lib/libpython3.10.so.1.0 #109 0x00007f5a92f87d18 in PyImport_ImportModuleLevelObject () at /usr/lib/libpython3.10.so.1.0 #110 0x00007f5a92f6b14a in _PyEval_EvalFrameDefault () at /usr/lib/libpython3.10.so.1.0 #111 0x00007f5a92f66422 in () at /usr/lib/libpython3.10.so.1.0 #112 0x00007f5a9301b370 in PyEval_EvalCode () at /usr/lib/libpython3.10.so.1.0 #113 0x00007f5a9302bf54 in () at /usr/lib/libpython3.10.so.1.0 #114 0x00007f5a93027a2b in () at /usr/lib/libpython3.10.so.1.0 #115 0x00007f5a92ec9220 in () at /usr/lib/libpython3.10.so.1.0 #116 0x00007f5a92f46672 in PyRun_FileExFlags () at /usr/lib/libpython3.10.so.1.0 #117 0x000055fab0324c94 in CPythonInvoker::executeScript(_IO_FILE*, std::__cxx11::basic_string, std::allocator > const&, _object*) () #118 0x000055fab033699a in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #119 0x000055fab0337d23 in CPythonInvoker::execute(std::__cxx11::basic_string, std::allocator > const&, std::vector, std::allocator >, std::allocator, std::allocator > > > const&) () #120 0x000055fab0e80863 in CLanguageInvokerThread::Process() () #121 0x000055fab0720794 in CThread::Action() () #122 0x000055fab1072dab in () #123 0x000055fab071a948 in () #124 0x00007f5a918263c4 in std::execute_native_thread_routine(void*) (__p=0x55fab555b220) at /build/gcc/src/gcc/libstdc++-v3/src/c++11/thread.cc:82 #125 0x00007f5a92e18259 in start_thread () at /usr/lib/libpthread.so.0 #126 0x00007f5a91e4b5e3 in clone () at /usr/lib/libc.so.6 ############# END STACK TRACE ############### ################# LOG FILE ################## 2021-12-18 03:58:05.720 T:840956 INFO : ----------------------------------------------------------------------- 2021-12-18 03:58:05.721 T:840956 INFO : Starting Kodi (19.3 (19.3.0) Git:20211203-nogitfound). Platform: Linux x86 64-bit 2021-12-18 03:58:05.721 T:840956 INFO : Using Release Kodi x64 2021-12-18 03:58:05.721 T:840956 INFO : Kodi compiled 2021-12-03 by GCC 11.1.0 for Linux x86 64-bit version 5.12.3 (330755) 2021-12-18 03:58:05.721 T:840956 INFO : Running on Arch Linux rolling, kernel: Linux x86 64-bit version 5.15.7-zen1-1-zen 2021-12-18 03:58:05.726 T:840956 INFO : FFmpeg version/source: 4.3.2-Kodi 2021-12-18 03:58:05.726 T:840956 INFO : Host CPU: Intel(R) Core(TM) i3-8100 CPU @ 3.60GHz, 4 cores available 2021-12-18 03:58:05.726 T:840956 INFO : special://xbmc/ is mapped to: /usr/share/kodi 2021-12-18 03:58:05.726 T:840956 INFO : special://xbmcbin/ is mapped to: /usr/lib/kodi 2021-12-18 03:58:05.726 T:840956 INFO : special://xbmcbinaddons/ is mapped to: /usr/lib/kodi/addons 2021-12-18 03:58:05.726 T:840956 INFO : special://masterprofile/ is mapped to: /home/tomyang/.kodi/userdata 2021-12-18 03:58:05.726 T:840956 INFO : special://envhome/ is mapped to: /home/tomyang 2021-12-18 03:58:05.726 T:840956 INFO : special://home/ is mapped to: /home/tomyang/.kodi 2021-12-18 03:58:05.726 T:840956 INFO : special://temp/ is mapped to: /home/tomyang/.kodi/temp 2021-12-18 03:58:05.726 T:840956 INFO : special://logpath/ is mapped to: /home/tomyang/.kodi/temp 2021-12-18 03:58:05.726 T:840956 INFO : The executable running is: /usr/lib/kodi/kodi.bin 2021-12-18 03:58:05.726 T:840956 INFO : Local hostname: archlinux 2021-12-18 03:58:05.726 T:840956 INFO : Log File is located: /home/tomyang/.kodi/temp/kodi.log 2021-12-18 03:58:05.726 T:840956 INFO : ----------------------------------------------------------------------- 2021-12-18 03:58:05.726 T:840956 INFO : loading settings 2021-12-18 03:58:05.729 T:840956 INFO : special://profile/ is mapped to: special://masterprofile/ 2021-12-18 03:58:05.817 T:840956 INFO : No settings file to load (special://xbmc/system/advancedsettings.xml) 2021-12-18 03:58:05.817 T:840956 INFO : No settings file to load (special://masterprofile/advancedsettings.xml) 2021-12-18 03:58:05.817 T:840956 INFO : Default Video Player: VideoPlayer 2021-12-18 03:58:05.817 T:840956 INFO : Default Audio Player: paplayer 2021-12-18 03:58:05.817 T:840956 INFO : Disabled debug logging due to GUI setting. Level 0. 2021-12-18 03:58:05.817 T:840956 INFO : Log level changed to "INFO" 2021-12-18 03:58:05.817 T:840956 INFO : CMediaSourceSettings: loading media sources from special://masterprofile/sources.xml 2021-12-18 03:58:05.818 T:840956 INFO : creating subdirectories 2021-12-18 03:58:05.818 T:840956 INFO : userdata folder: special://masterprofile/ 2021-12-18 03:58:05.818 T:840956 INFO : recording folder: 2021-12-18 03:58:05.818 T:840956 INFO : screenshots folder: 2021-12-18 03:58:05.848 T:840956 INFO : Running database version Addons33 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: audioencoder.kodi.builtin.aac v1.0.2 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: audioencoder.kodi.builtin.wma v1.0.2 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: context.trakt.watched v1.1.0+matrix.1 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: game.controller.default v1.0.16 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: game.controller.snes v1.0.15 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: inputstream.adaptive v19.0.1 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.global.audioengine v1.1.1 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.global.filesystem v1.1.6 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.global.general v1.0.5 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.global.gui v5.15.0 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.global.main v1.3.0 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.global.network v1.0.4 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.global.tools v1.0.4 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.audiodecoder v3.0.0 installed 2021-12-18 03:58:05.904 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.audioencoder v2.1.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.game v2.1.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.imagedecoder v2.1.1 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.inputstream v3.0.1 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.peripheral v2.0.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.pvr v7.1.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.screensaver v2.1.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.vfs v3.0.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.videocodec v2.0.2 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.binary.instance.visualization v3.0.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: kodi.resource v1.0.0 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.album.universal v3.1.6 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.artists.universal v4.3.5 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.atmovies.com.tw v1.6.7 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.common.allmusic.com v3.2.2 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.common.fanart.tv v3.6.3 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.common.imdb.com v3.2.4 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.common.musicbrainz.org v2.2.4 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.common.theaudiodb.com v2.0.3 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.common.themoviedb.org v3.2.17 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.generic.albums v1.0.14 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.generic.artists v1.0.14 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.local v1.0.1 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.themoviedb.org v5.2.6 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.themoviedb.org.python v1.5.1+matrix.1 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.tvshows.themoviedb.org v3.5.13 installed 2021-12-18 03:58:05.905 T:840956 INFO : CAddonMgr::FindAddons: metadata.tvshows.themoviedb.org.python v1.4.10 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.audio.soundcloud v4.0.1 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.googledrive v1.4.10+matrix.2 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.library.node.editor v2.0.4 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.video.bilibili v0.5.9 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.video.itunes_podcasts v1.1.6 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.video.twitch v2.5.11+matrix.1 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.video.videodevil v1.8.20 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: plugin.video.youtube v6.8.18+matrix.1 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: repository.StreamArmy v13.0.005 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: repository.cguzzman v2.0.0 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: repository.kodiadult v1.0.0 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: repository.xbmc.org v3.2.5 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.images.classificationicons.colour v0.0.3 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.images.studios.white v0.0.28 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.images.weathericons.default v1.1.9 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.language.en_gb v2.0.2 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.language.en_us v9.0.31 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.language.zh_cn v9.0.37 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.language.zh_tw v9.0.23 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.uisounds.amber v1.0.0 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.uisounds.apptv v1.0.4 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: resource.uisounds.kodi v1.0.1 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: screensaver.xbmc.builtin.black v1.0.34 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: screensaver.xbmc.builtin.dim v1.0.64 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: script.adultflix.artwork v1.0.0 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: script.adultflix.scrapers v1.1.0 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: script.extendedinfo v5.6.0 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: script.favourites v8.1.2 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: script.globalsearch v9.0.5 installed 2021-12-18 03:58:05.906 T:840956 INFO : CAddonMgr::FindAddons: script.module.addon.signals v0.0.6+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.arrow v1.0.3.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.autocompletion v2.0.3 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.backports.functools_lru_cache v1.6.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.beautifulsoup4 v4.9.3+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.certifi v2020.12.05+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.chardet v4.0.0+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.clouddrive.common v1.3.9+matrix.2 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.dateutil v2.8.1+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.echo v5.00.000 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.feedparser v6.0.2 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.html5lib v1.0.1+matrix.2 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.idna v2.10.0+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.kodi-six v0.1.3.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.kodi65 v1.2.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.librarypack v1.0.0 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.pil v5.1.0 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.pycryptodome v3.4.3 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.pyqrcode v1.2.1+matrix.4 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.python.twitch v2.0.19+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.pytz v2019.3.0+matrix.2 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.pyxbmct v1.3.1+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.requests v2.25.1+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.resolveurl v5.1.54 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.resolveurl.xxx v2.1.17 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.routing v0.2.3+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.sgmllib3k v1.0.0+matrix.2 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.simpleeval v0.9.10 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.simplejson v3.17.0+matrix.2 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.six v1.15.0+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.soupsieve v2.1.0+matrix.1 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.t1mlib v4.0.7 installed 2021-12-18 03:58:05.907 T:840956 INFO : CAddonMgr::FindAddons: script.module.tmdbsimple v2.2.0+matrix.1 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.module.trakt v4.4.0+matrix.1 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.module.typing_extensions v3.7.4.3 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.module.unidecode v1.1.1+matrix.2 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.module.urllib3 v1.26.4+matrix.1 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.module.webencodings v0.5.1+matrix.2 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.module.xbmcswift2 v19.0.7 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.module.youtube.dl v21.303.0+matrix.1 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.openweathermap.maps v1.0.6 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.rss.editor v4.0.2 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.skinshortcuts v2.0.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.trakt v3.5.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.xxxodus.artwork v5.00.000 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: script.xxxodus.scrapers v5.00.004 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: service.xbmc.versioncheck v0.5.20+matrix.1 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: skin.amber v3.4.11 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: skin.estouchy v3.0.6 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: skin.estuary v3.0.5 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: skin.unity v0.19.2 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: weather.multi v0.0.6 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: weather.yahoo v5.0.7 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: webinterface.default v19.x-2.4.8 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: xbmc.addon v19.1.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: xbmc.core v0.1.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: xbmc.gui v5.15.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: xbmc.json v12.4.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: xbmc.metadata v2.1.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: xbmc.python v3.0.0 installed 2021-12-18 03:58:05.908 T:840956 INFO : CAddonMgr::FindAddons: xbmc.webinterface v1.0.0 installed 2021-12-18 03:58:05.909 T:840956 INFO : Selected Logind/UPower as PowerSyscall 2021-12-18 03:58:05.925 T:840956 ERROR : DBus error: org.freedesktop.DBus.Error.InvalidArgs - No such property “OnLowBattery” 2021-12-18 03:58:05.926 T:840956 INFO : Selected UPower as PowerSyscall 2021-12-18 03:58:05.927 T:840956 ERROR : DBus error: org.freedesktop.DBus.Error.InvalidArgs - No such property “CanSuspend” 2021-12-18 03:58:05.927 T:840956 ERROR : DBus error: org.freedesktop.DBus.Error.InvalidArgs - No such property “CanHibernate” 2021-12-18 03:58:05.970 T:840967 WARNING : Pulseaudio module module-allow-passthrough not loaded - opening PT devices might fail 2021-12-18 03:58:05.971 T:840967 INFO : Found 1 Lists of Devices 2021-12-18 03:58:05.971 T:840967 INFO : Enumerated PULSE devices: 2021-12-18 03:58:05.971 T:840967 INFO : Device 1 2021-12-18 03:58:05.971 T:840967 INFO : m_deviceName : Default 2021-12-18 03:58:05.971 T:840967 INFO : m_displayName : Default 2021-12-18 03:58:05.971 T:840967 INFO : m_displayNameExtra: Default Output Device (PULSEAUDIO) 2021-12-18 03:58:05.971 T:840967 INFO : m_deviceType : AE_DEVTYPE_PCM 2021-12-18 03:58:05.971 T:840967 INFO : m_channels : FL, FR 2021-12-18 03:58:05.971 T:840967 INFO : m_sampleRates : 5512,8000,11025,16000,22050,32000,44100,48000,64000,88200,96000,176400,192000,384000 2021-12-18 03:58:05.971 T:840967 INFO : m_dataFormats : AE_FMT_U8,AE_FMT_S16NE,AE_FMT_S24NE3,AE_FMT_S24NE4,AE_FMT_S32NE,AE_FMT_FLOAT 2021-12-18 03:58:05.971 T:840967 INFO : m_streamTypes : No passthrough capabilities 2021-12-18 03:58:05.971 T:840967 INFO : Device 2 2021-12-18 03:58:05.971 T:840967 INFO : m_deviceName : alsa_output.pci-0000_00_1f.3.hdmi-stereo 2021-12-18 03:58:05.971 T:840967 INFO : m_displayName : Built-in Audio Digital Stereo (HDMI) 2021-12-18 03:58:05.971 T:840967 INFO : m_displayNameExtra: HDMI / DisplayPort (PULSEAUDIO) 2021-12-18 03:58:05.971 T:840967 INFO : m_deviceType : AE_DEVTYPE_PCM 2021-12-18 03:58:05.971 T:840967 INFO : m_channels : FL, FR 2021-12-18 03:58:05.971 T:840967 INFO : m_sampleRates : 5512,8000,11025,16000,22050,32000,44100,48000,64000,88200,96000,176400,192000,384000 2021-12-18 03:58:05.971 T:840967 INFO : m_dataFormats : AE_FMT_U8,AE_FMT_S16NE,AE_FMT_S24NE3,AE_FMT_S24NE4,AE_FMT_S32NE,AE_FMT_FLOAT 2021-12-18 03:58:05.971 T:840967 INFO : m_streamTypes : No passthrough capabilities 2021-12-18 03:58:05.972 T:840968 INFO : CActiveAESink::OpenSink - initialize sink 2021-12-18 03:58:05.979 T:840968 INFO : PulseAudio: Opened device Default in pcm mode with Buffersize 150 ms Periodsize 50 ms 2021-12-18 03:58:06.022 T:840956 INFO : CKeyboardLayoutManager: loading keyboard layouts from special://xbmc/system/keyboardlayouts... 2021-12-18 03:58:06.052 T:840956 INFO : RetroPlayer[PROCESS]: Registering process control for Wayland 2021-12-18 03:58:06.052 T:840956 INFO : InitWindowSystem: Connecting to Wayland server 2021-12-18 03:58:06.065 T:840956 INFO : UpdateResolutions: User wanted output "HDMI-1", we now have "Philips Consumer Electronics Company PHL 246E9Q/15103" size 530x300 mm with 1 mode(s): 2021-12-18 03:58:06.065 T:840956 INFO : UpdateResolutions: - 1920x1080 @60.000 Hz pixel ratio 0.994 current 2021-12-18 03:58:06.239 T:840956 INFO : EGL_VERSION = 1.5 2021-12-18 03:58:06.239 T:840956 INFO : EGL_VENDOR = Mesa Project 2021-12-18 03:58:06.239 T:840956 INFO : EGL_EXTENSIONS = EGL_ANDROID_blob_cache EGL_ANDROID_native_fence_sync EGL_EXT_buffer_age EGL_EXT_create_context_robustness EGL_EXT_image_dma_buf_import EGL_EXT_image_dma_buf_import_modifiers EGL_EXT_present_opaque EGL_EXT_swap_buffers_with_damage EGL_IMG_context_priority EGL_KHR_cl_event2 EGL_KHR_config_attribs EGL_KHR_create_context EGL_KHR_create_context_no_error EGL_KHR_fence_sync EGL_KHR_get_all_proc_addresses EGL_KHR_gl_colorspace EGL_KHR_gl_renderbuffer_image EGL_KHR_gl_texture_2D_image EGL_KHR_gl_texture_3D_image EGL_KHR_gl_texture_cubemap_image EGL_KHR_image_base EGL_KHR_no_config_context EGL_KHR_reusable_sync EGL_KHR_surfaceless_context EGL_KHR_swap_buffers_with_damage EGL_EXT_pixel_format_float EGL_KHR_wait_sync EGL_MESA_configless_context EGL_MESA_drm_image EGL_MESA_image_dma_buf_export EGL_MESA_query_driver EGL_WL_bind_wayland_display EGL_WL_create_wayland_buffer_from_image 2021-12-18 03:58:06.239 T:840956 INFO : EGL_CLIENT_EXTENSIONS = EGL_EXT_device_base EGL_EXT_device_enumeration EGL_EXT_device_query EGL_EXT_platform_base EGL_KHR_client_get_all_proc_addresses EGL_EXT_client_extensions EGL_KHR_debug EGL_EXT_platform_device EGL_EXT_platform_wayland EGL_KHR_platform_wayland EGL_EXT_platform_x11 EGL_KHR_platform_x11 EGL_MESA_platform_xcb EGL_MESA_platform_gbm EGL_KHR_platform_gbm EGL_MESA_platform_surfaceless 2021-12-18 03:58:06.239 T:840956 INFO : RetroPlayer[RENDER]: Registering renderer factory for DMA 2021-12-18 03:58:06.239 T:840956 INFO : RetroPlayer[RENDER]: Registering renderer factory for OpenGL 2021-12-18 03:58:06.264 T:840956 INFO : VAAPI::Close - closing decoder context 2021-12-18 03:58:06.264 T:840956 INFO : CApplication::CreateGUI - using the wayland windowing system 2021-12-18 03:58:06.264 T:840956 INFO : Checking resolution 16 2021-12-18 03:58:06.264 T:840956 INFO : CreateNewWindow: Starting full screen size 1920x1080 2021-12-18 03:58:06.265 T:840956 INFO : UpdateSizeVariables: Surface size changed: 0x0 -> 1920x1080 2021-12-18 03:58:06.265 T:840956 INFO : UpdateSizeVariables: Buffer size changed: 0x0 -> 1920x1080 2021-12-18 03:58:06.265 T:840956 INFO : UpdateSizeVariables: Configured size changed: 0x0 -> 1920x1080 2021-12-18 03:58:06.281 T:840956 INFO : CRenderSystemGL::InitRenderSystem - Version: 4.6 (Core Profile) Mesa 21.3.1, Major: 4, Minor: 6 2021-12-18 03:58:06.281 T:840956 INFO : GL_VENDOR = Intel 2021-12-18 03:58:06.281 T:840956 INFO : GL_RENDERER = Mesa Intel(R) UHD Graphics 630 (CFL GT2) 2021-12-18 03:58:06.281 T:840956 INFO : GL_VERSION = 4.6 (Core Profile) Mesa 21.3.1 2021-12-18 03:58:06.281 T:840956 INFO : GL_SHADING_LANGUAGE_VERSION = 4.60 2021-12-18 03:58:06.281 T:840956 INFO : GL_EXTENSIONS = GL_3DFX_texture_compression_FXT1 GL_AMD_conservative_depth GL_AMD_depth_clamp_separate GL_AMD_draw_buffers_blend GL_AMD_gpu_shader_int64 GL_AMD_multi_draw_indirect GL_AMD_performance_monitor GL_AMD_pinned_memory GL_AMD_query_buffer_object GL_AMD_seamless_cubemap_per_texture GL_AMD_shader_stencil_export GL_AMD_shader_trinary_minmax GL_AMD_texture_texture4 GL_AMD_vertex_shader_layer GL_AMD_vertex_shader_viewport_index GL_ANGLE_texture_compression_dxt3 GL_ANGLE_texture_compression_dxt5 GL_ARB_ES2_compatibility GL_ARB_ES3_1_compatibility GL_ARB_ES3_2_compatibility GL_ARB_ES3_compatibility GL_ARB_arrays_of_arrays GL_ARB_base_instance GL_ARB_blend_func_extended GL_ARB_buffer_storage GL_ARB_clear_buffer_object GL_ARB_clear_texture GL_ARB_clip_control GL_ARB_compressed_texture_pixel_storage GL_ARB_compute_shader GL_ARB_compute_variable_group_size GL_ARB_conditional_render_inverted GL_ARB_conservative_depth GL_ARB_copy_buffer GL_ARB_copy_image GL_ARB_cull_distance GL_ARB_debug_output GL_ARB_depth_buffer_float GL_ARB_depth_clamp GL_ARB_derivative_control GL_ARB_direct_state_access GL_ARB_draw_buffers GL_ARB_draw_buffers_blend GL_ARB_draw_elements_base_vertex GL_ARB_draw_indirect GL_ARB_draw_instanced GL_ARB_enhanced_layouts GL_ARB_explicit_attrib_location GL_ARB_explicit_uniform_location GL_ARB_fragment_coord_conventions GL_ARB_fragment_layer_viewport GL_ARB_fragment_shader GL_ARB_fragment_shader_interlock GL_ARB_framebuffer_no_attachments GL_ARB_framebuffer_object GL_ARB_framebuffer_sRGB GL_ARB_get_program_binary GL_ARB_get_texture_sub_image GL_ARB_gl_spirv GL_ARB_gpu_shader5 GL_ARB_gpu_shader_fp64 GL_ARB_gpu_shader_int64 GL_ARB_half_float_pixel GL_ARB_half_float_vertex GL_ARB_indirect_parameters GL_ARB_instanced_arrays GL_ARB_internalformat_query GL_ARB_internalformat_query2 GL_ARB_invalidate_subdata GL_ARB_map_buffer_alignment GL_ARB_map_buffer_range GL_ARB_multi_bind GL_ARB_multi_draw_indirect GL_ARB_occlusion_query2 GL_ARB_parallel_shader_compile GL_ARB_pipeline_statistics_query GL_ARB_pixel_buffer_object GL_ARB_point_sprite GL_ARB_polygon_offset_clamp GL_ARB_post_depth_coverage GL_ARB_program_interface_query GL_ARB_provoking_vertex GL_ARB_query_buffer_object GL_ARB_robust_buffer_access_behavior GL_ARB_robustness GL_ARB_sample_shading GL_ARB_sampler_objects GL_ARB_seamless_cube_map GL_ARB_seamless_cubemap_per_texture GL_ARB_separate_shader_objects GL_ARB_shader_atomic_counter_ops GL_ARB_shader_atomic_counters GL_ARB_shader_ballot GL_ARB_shader_bit_encoding GL_ARB_shader_clock GL_ARB_shader_draw_parameters GL_ARB_shader_group_vote GL_ARB_shader_image_load_store GL_ARB_shader_image_size GL_ARB_shader_objects GL_ARB_shader_precision GL_ARB_shader_stencil_export GL_ARB_shader_storage_buffer_object GL_ARB_shader_subroutine GL_ARB_shader_texture_image_samples GL_ARB_shader_texture_lod GL_ARB_shader_viewport_layer_array GL_ARB_shading_language_420pack GL_ARB_shading_language_include GL_ARB_shading_language_packing GL_ARB_spirv_extensions GL_ARB_stencil_texturing GL_ARB_sync GL_ARB_tessellation_shader GL_ARB_texture_barrier GL_ARB_texture_buffer_object GL_ARB_texture_buffer_object_rgb32 GL_ARB_texture_buffer_range GL_ARB_texture_compression_bptc GL_ARB_texture_compression_rgtc GL_ARB_texture_cube_map_array GL_ARB_texture_filter_anisotropic GL_ARB_texture_float GL_ARB_texture_gather GL_ARB_texture_mirror_clamp_to_edge GL_ARB_texture_multisample GL_ARB_texture_non_power_of_two GL_ARB_texture_query_levels GL_ARB_texture_query_lod GL_ARB_texture_rectangle GL_ARB_texture_rg GL_ARB_texture_rgb10_a2ui GL_ARB_texture_stencil8 GL_ARB_texture_storage GL_ARB_texture_storage_multisample GL_ARB_texture_swizzle GL_ARB_texture_view GL_ARB_timer_query GL_ARB_transform_feedback2 GL_ARB_transform_feedback3 GL_ARB_transform_feedback_instanced GL_ARB_transform_feedback_overflow_query GL_ARB_uniform_buffer_object GL_ARB_vertex_array_bgra GL_ARB_vertex_array_object GL_ARB_vertex_attrib_64bit GL_ARB_vertex_attrib_binding GL_ARB_vertex_buffer_object GL_ARB_vertex_shader GL_ARB_vertex_type_10f_11f_11f_rev GL_ARB_vertex_type_2_10_10_10_rev GL_ARB_viewport_array GL_ATI_blend_equation_separate GL_ATI_texture_float GL_EXT_EGL_image_storage GL_EXT_EGL_sync GL_EXT_abgr GL_EXT_blend_equation_separate GL_EXT_demote_to_helper_invocation GL_EXT_draw_buffers2 GL_EXT_draw_instanced GL_EXT_framebuffer_blit GL_EXT_framebuffer_multisample GL_EXT_framebuffer_multisample_blit_scaled GL_EXT_framebuffer_object GL_EXT_framebuffer_sRGB GL_EXT_memory_object GL_EXT_memory_object_fd GL_EXT_packed_depth_stencil GL_EXT_packed_float GL_EXT_pixel_buffer_object GL_EXT_polygon_offset_clamp GL_EXT_provoking_vertex GL_EXT_semaphore GL_EXT_semaphore_fd GL_EXT_shader_framebuffer_fetch GL_EXT_shader_framebuffer_fetch_non_coherent GL_EXT_shader_integer_mix GL_EXT_shader_samples_identical GL_EXT_texture_array GL_EXT_texture_compression_dxt1 GL_EXT_texture_compression_rgtc GL_EXT_texture_compression_s3tc GL_EXT_texture_filter_anisotropic GL_EXT_texture_integer GL_EXT_texture_sRGB GL_EXT_texture_sRGB_R8 GL_EXT_texture_sRGB_decode GL_EXT_texture_shadow_lod GL_EXT_texture_shared_exponent GL_EXT_texture_snorm GL_EXT_texture_swizzle GL_EXT_timer_query GL_EXT_transform_feedback GL_EXT_vertex_array_bgra GL_EXT_vertex_attrib_64bit GL_IBM_multimode_draw_arrays GL_INTEL_blackhole_render GL_INTEL_conservative_rasterization GL_INTEL_performance_query GL_INTEL_shader_atomic_float_minmax GL_INTEL_shader_integer_functions2 GL_KHR_blend_equation_advanced GL_KHR_blend_equation_advanced_coherent GL_KHR_context_flush_control GL_KHR_debug GL_KHR_no_error GL_KHR_parallel_shader_compile GL_KHR_robust_buffer_access_behavior GL_KHR_robustness GL_KHR_texture_compression_astc_ldr GL_KHR_texture_compression_astc_sliced_3d GL_MESA_framebuffer_flip_y GL_MESA_pack_invert GL_MESA_shader_integer_functions GL_MESA_texture_signed_rgba GL_NV_compute_shader_derivatives GL_NV_conditional_render GL_NV_copy_image GL_NV_depth_clamp GL_NV_fragment_shader_interlock GL_NV_packed_depth_stencil GL_NV_texture_barrier GL_OES_EGL_image GL_S3_s3tc 2021-12-18 03:58:06.372 T:840956 INFO : SetResolutionExternal: Kodi asked to switch mode to 1920x1080 @60.000 Hz on output "Philips Consumer Electronics Company PHL 246E9Q/15103" full screen 2021-12-18 03:58:06.374 T:840956 INFO : GetOSScreenSaverImpl: Using idle-inhibit-unstable-v1 protocol for screen saver inhibition 2021-12-18 03:58:06.474 T:840956 INFO : GL: Enabling VSYNC 2021-12-18 03:58:06.476 T:840956 INFO : UpdateResolutions: User wanted output "HDMI-1", we now have "Philips Consumer Electronics Company PHL 246E9Q/15103" size 530x300 mm with 1 mode(s): 2021-12-18 03:58:06.476 T:840956 INFO : UpdateResolutions: - 1920x1080 @60.000 Hz pixel ratio 0.994 current 2021-12-18 03:58:06.476 T:840956 INFO : load keymapping 2021-12-18 03:58:06.479 T:840956 INFO : Loading special://xbmc/system/keymaps/appcommand.xml 2021-12-18 03:58:06.479 T:840956 INFO : Loading special://xbmc/system/keymaps/customcontroller.AppleRemote.xml 2021-12-18 03:58:06.500 T:840956 INFO : Loading special://xbmc/system/keymaps/customcontroller.Harmony.xml 2021-12-18 03:58:06.502 T:840956 INFO : Loading special://xbmc/system/keymaps/customcontroller.SiriRemote.xml 2021-12-18 03:58:06.503 T:840956 INFO : Loading special://xbmc/system/keymaps/gamepad.xml 2021-12-18 03:58:06.504 T:840956 INFO : Loading special://xbmc/system/keymaps/joystick.xml 2021-12-18 03:58:06.505 T:840956 INFO : Loading special://xbmc/system/keymaps/keyboard.xml 2021-12-18 03:58:06.508 T:840956 INFO : Loading special://xbmc/system/keymaps/mouse.xml 2021-12-18 03:58:06.509 T:840956 INFO : Loading special://xbmc/system/keymaps/remote.xml 2021-12-18 03:58:06.511 T:840956 INFO : Loading special://xbmc/system/keymaps/touchscreen.xml 2021-12-18 03:58:06.512 T:840956 INFO : GUI format 1920x1080, Display Philips Consumer Electronics Company PHL 246E9Q/15103: 1920x1080 @ 60.00Hz 2021-12-18 03:58:06.512 T:840956 INFO : CLangInfo: loading resource.language.zh_cn language information... 2021-12-18 03:58:06.513 T:840956 INFO : global locale set to zh_CN.UTF-8 2021-12-18 03:58:06.513 T:840956 INFO : CLangInfo: loading resource.language.zh_cn language strings... 2021-12-18 03:58:06.528 T:840956 WARNING : Repository add-on repository.StreamArmy uses plain HTTP for add-on downloads in path http://raw.githubusercontent.com/nemesis668/repository.streamarmy18-19/main/zips/ - this is insecure and will make your Kodi installation vulnerable to attacks if enabled! 2021-12-18 03:58:06.644 T:840977 INFO : Running database version Addons33 2021-12-18 03:58:06.646 T:840977 INFO : Running database version ViewModes6 2021-12-18 03:58:06.649 T:840977 INFO : Running database version Textures13 2021-12-18 03:58:06.657 T:840977 INFO : Running database version MyMusic82 2021-12-18 03:58:06.665 T:840977 INFO : Running database version MyVideos119 2021-12-18 03:58:06.667 T:840977 INFO : Running database version TV38 2021-12-18 03:58:06.668 T:840977 INFO : Running database version Epg13 2021-12-18 03:58:06.676 T:840956 INFO : start dvd mediatype detection 2021-12-18 03:58:06.699 T:840956 INFO : Unloaded skin 2021-12-18 03:58:06.701 T:840956 INFO : load skin from: /usr/share/kodi/addons/skin.estuary/ (version: 3.0.5) 2021-12-18 03:58:06.701 T:840956 INFO : load fonts for skin... 2021-12-18 03:58:06.702 T:840956 INFO : Loading skin includes from /usr/share/kodi/addons/skin.estuary/xml/Includes.xml 2021-12-18 03:58:06.710 T:840964 INFO : Loading special://xbmc/system/Lircmap.xml 2021-12-18 03:58:06.711 T:840964 INFO : * Adding remote mapping for device 'mceusb' 2021-12-18 03:58:06.711 T:840964 INFO : * Adding remote mapping for device 'XboxDVDDongle' 2021-12-18 03:58:06.711 T:840964 INFO : * Adding remote mapping for device 'Microsoft_Xbox' 2021-12-18 03:58:06.711 T:840964 INFO : * Adding remote mapping for device 'PinnacleSysPCTVRemote' 2021-12-18 03:58:06.711 T:840964 INFO : * Adding remote mapping for device 'anysee' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'iMON-PAD' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'Antec_Veris_RM200' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'MCE_via_iMON' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'TwinHanRemote' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'linux-input-layer' 2021-12-18 03:58:06.712 T:840964 INFO : * Linking remote mapping for 'linux-input-layer' to 'cx23885_remote' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'mediacenter' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'devinput' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'devinput-32' 2021-12-18 03:58:06.712 T:840964 INFO : * Adding remote mapping for device 'devinput-64' 2021-12-18 03:58:06.775 T:840956 INFO : Loading fonts from /usr/share/kodi/addons/skin.estuary/xml/Font.xml 2021-12-18 03:58:06.819 T:840956 INFO : load new skin... 2021-12-18 03:58:06.819 T:840956 INFO : Loading custom window XMLs from skin path /usr/share/kodi/addons/skin.estuary/xml 2021-12-18 03:58:06.822 T:840956 INFO : initialize new skin... 2021-12-18 03:58:06.822 T:840956 INFO : Loading skin file: Custom_1109_TopBarOverlay.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.826 T:840956 INFO : Loading skin file: DialogVolumeBar.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.827 T:840956 INFO : Loading skin file: DialogBusy.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.827 T:840956 INFO : Loading skin file: Pointer.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.827 T:840956 INFO : Loading skin file: DialogExtendedProgressBar.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.828 T:840956 INFO : Loading skin file: DialogSeekBar.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.831 T:840956 INFO : Loading skin file: DialogNotification.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.832 T:840956 INFO : Loading skin file: DialogBusy.xml, load type: LOAD_ON_GUI_INIT 2021-12-18 03:58:06.832 T:840956 INFO : Loading resource://resource.uisounds.kodi/sounds.xml 2021-12-18 03:58:06.841 T:840956 INFO : skin loaded... 2021-12-18 03:58:06.841 T:840956 INFO : Loading skin file: Startup.xml, load type: LOAD_EVERY_TIME 2021-12-18 03:58:06.841 T:840956 INFO : Loading skin file: Home.xml, load type: KEEP_IN_MEMORY 2021-12-18 03:58:07.327 T:840981 WARNING : Repository add-on repository.ptom uses plain HTTP for add-on downloads in path http://raw.githubusercontent.com/ptom98/PTOM/master/ - this is insecure and will make your Kodi installation vulnerable to attacks if enabled! 2021-12-18 03:58:07.348 T:840981 WARNING : Repository add-on repository.StreamArmy uses plain HTTP for add-on downloads in path http://raw.githubusercontent.com/nemesis668/repository.streamarmy18-19/main/zips/ - this is insecure and will make your Kodi installation vulnerable to attacks if enabled! 2021-12-18 03:58:07.353 T:840956 WARNING : Skipped 1 duplicate messages.. 2021-12-18 03:58:07.353 T:840956 WARNING : JSONRPC: Could not parse type "Setting.Details.SettingList" 2021-12-18 03:58:07.353 T:840956 INFO : JSONRPC: Adding type "Setting.Details.SettingList" to list of incomplete definitions (waiting for "Setting.Details.Setting") 2021-12-18 03:58:07.353 T:840956 INFO : JSONRPC: Resolving incomplete types/methods referencing Setting.Details.Setting 2021-12-18 03:58:07.358 T:840956 INFO : JSONRPC v12.4.0: Successfully initialized 2021-12-18 03:58:07.363 T:840956 INFO : Register - new keyboard device registered on application->keyboard: 键盘 (0000:0000) 2021-12-18 03:58:07.363 T:840956 INFO : Register - new mouse device registered on application->mouse: 鼠标 (0000:0000) 2021-12-18 03:58:07.363 T:840956 INFO : Loading player core factory settings from special://xbmc/system/playercorefactory.xml. 2021-12-18 03:58:07.363 T:840956 INFO : Loaded playercorefactory configuration 2021-12-18 03:58:07.363 T:840956 INFO : Loading player core factory settings from special://masterprofile/playercorefactory.xml. 2021-12-18 03:58:07.363 T:840956 INFO : special://masterprofile/playercorefactory.xml does not exist. Skipping. 2021-12-18 03:58:07.363 T:840956 INFO : removing tempfiles 2021-12-18 03:58:07.367 T:840956 INFO : UpdateLibraries: Starting music library startup scan 2021-12-18 03:58:07.369 T:840956 WARNING : Repository add-on repository.StreamArmy uses plain HTTP for add-on downloads in path http://raw.githubusercontent.com/nemesis668/repository.streamarmy18-19/main/zips/ - this is insecure and will make your Kodi installation vulnerable to attacks if enabled! 2021-12-18 03:58:07.374 T:840989 INFO : Skipped 1 duplicate messages.. 2021-12-18 03:58:07.374 T:840989 INFO : initializing python engine. 2021-12-18 03:58:07.448 T:840956 INFO : Skipped 3 duplicate messages.. 2021-12-18 03:58:07.448 T:840956 INFO : initialize done 2021-12-18 03:58:07.448 T:840956 INFO : Running the application... 2021-12-18 03:58:07.448 T:840956 WARNING : Repository add-on repository.StreamArmy uses plain HTTP for add-on downloads in path http://raw.githubusercontent.com/nemesis668/repository.streamarmy18-19/main/zips/ - this is insecure and will make your Kodi installation vulnerable to attacks if enabled! 2021-12-18 03:58:07.449 T:840993 INFO : initializing python engine. 2021-12-18 03:58:07.451 T:840956 INFO : CZeroconfAvahi::clientCallback: avahi server not available. But may become later... 2021-12-18 03:58:07.451 T:840956 INFO : starting zeroconf publishing 2021-12-18 03:58:07.451 T:840995 INFO : ES: Starting UDP Event server on port 9777 2021-12-18 03:58:07.451 T:840995 INFO : UDP: Listening on port 9777 (ipv6 : false) 2021-12-18 03:58:07.540 T:840956 INFO : JSONRPC Server: Successfully initialized 2021-12-18 03:58:07.779 T:840992 ERROR : Exception ignored deletion of interned string failed 2021-12-18 03:58:07.779 T:840992 ERROR : : 2021-12-18 03:58:07.779 T:840992 ERROR : Traceback (most recent call last): 2021-12-18 03:58:07.779 T:840992 ERROR : File "/usr/lib/python3.10/sqlite3/dbapi2.py", line 83, in register_adapters_and_converters 2021-12-18 03:58:07.782 T:840992 ERROR : 2021-12-18 03:58:07.782 T:840992 ERROR : register_converter("timestamp", convert_timestamp) 2021-12-18 03:58:07.782 T:840992 ERROR : 2021-12-18 03:58:07.782 T:840992 ERROR : KeyError 2021-12-18 03:58:07.782 T:840992 ERROR : : 2021-12-18 03:58:07.782 T:840992 ERROR : 'minutes' 2021-12-18 03:58:07.782 T:840992 ERROR : 2021-12-18 03:58:07.782 T:840992 ERROR : Exception ignored deletion of interned string failed 2021-12-18 03:58:07.782 T:840992 ERROR : : 2021-12-18 03:58:07.782 T:840992 ERROR : Traceback (most recent call last): 2021-12-18 03:58:07.782 T:840992 ERROR : File "/usr/lib/python3.10/sqlite3/dbapi2.py", line 83, in register_adapters_and_converters 2021-12-18 03:58:07.782 T:840992 ERROR : 2021-12-18 03:58:07.782 T:840992 ERROR : register_converter("timestamp", convert_timestamp) 2021-12-18 03:58:07.782 T:840992 ERROR : 2021-12-18 03:58:07.782 T:840992 ERROR : KeyError 2021-12-18 03:58:07.782 T:840992 ERROR : : 2021-12-18 03:58:07.782 T:840992 ERROR : 'hours' 2021-12-18 03:58:07.782 T:840992 ERROR : ############### END LOG FILE ################ ############ END Kodi CRASH LOG #############